Публикации
2023 г. – новый этап практического применения CXL, статья
VMware сдвигает акцент в проекте Capitola на CXL, статья
Dell Validated Design for Analytics — Data Lakehouse: интегрированное хранилище данных, статья
OCP Global Summit: решения для Computational Storage и компонуемых масштабируемых архитектур, статья
Samsung CXL MemoryySemantic SSD: 20M IOPs, статья
UCIe – открытый протокол для взаимосвязи чиплетов и построения дезагрегированных инфраструктур, статья
Omni-Path Express – открытый интерконнект для экзафлопных HPC/AI-систем, статья
GigaIO: CDI_решение на базе AMD для высшего образования, статья
Энергоэффективные ЦОД на примерах решений Supermicro, Lenovo, Iceotope, Meta, статья
От хранилищ данных и “озер данных” к open data lakehouse и фабрике данных, статья
EuroHPC JU развивает НРС-экосистему на базе RISC-V, статья
LightOS™ 2.2 – программно-определяемое составное блочное NVMe/TCP хранилище, статья
End-to-end 64G FC NAFA, статья
Computational Storage, статья
Технология KIOXIA Software-Enabled Flash™, статья
Pavilion: 200 млн IOPS на стойку, статья
CXL 2.0: инновации в операциях Load/Store вводаавывода, статья
Тестирование референсной архитектуры Weka AI на базе NVIDIA DGX A100, статья
Fujitsu ETERNUS CS8000 – единая масштабируемая платформа для резервного копирования и архивирования, статья
SmartNIC – новый уровень инфраструктурной обработки, статья
Ethernet SSD, JBOF, EBOF и дезагрегированные хранилища, статья
Compute, Memory и Storage, статья
Lenovo: CXL – будущее серверов с многоуровневой памятью , статья
Liqid: компонуемые дезагрегированные инфраструктуры для HPC и AI, статья
Intel® Agilex™ FPGA, статья
Weka для AI-трансформации, статья
Cloudera Data Platform – “лучшее из двух миров”, статья
Fujitsu ETERNUS DSP - разработано для будущего, статья
Технологии охлаждения для следующего поколения HPC-решений, статья
Что такое современный HBA?, статья
Fugaku– самый быстрый суперкомпьютер в мире, статья
НРС – эпоха революционных изменений, статья
Новое поколение СХД Fujitsu ETERNUS, статья
Зональное хранение данных, статья
За пределами суперкомпьютеров, статья
Применение Intel® Optane™ DC и Intel® FPGA PAC, статья
Адаптивные HPC/AI-архитектуры для экзаскейл-эры, статья
DAOS: СХД для HPC/BigData/AI приложений в эру экзаскейл_вычислений, статья
IPsec в пост-квантовую эру, статья
LiCO: оркестрация гибридныхНРС/AI/BigData_инфраструктур, статья
 
Обзоры
Все обзоры в Storage News
 
Тематические публикации
Flash-память
Облачные вычисления/сервисы
Специализ. СХД для BI-хранилищ, аналитика "больших данных", интеграция данных
Современные СХД
Информационная безопасность (ИБ), борьба с мошенничеством
Рынки
Решение сложнейших проблем с памятью и вычислительными ресурсами с помощью FPGA Intel® Agilex™ серии M

7, март 2022  — 

https://community.intel.com/t5/Blogs/Products-and-Solutions/FPGA/Addressing-the-Greatest-Memory-and-Compute-Challenges-with-Intel/post/1365977

Архитектура ИТ меняется от централизованных кластеров вычислений и хранения данных к более распределенной архитектуре, которая обрабатывает данные везде — в облаке, на периферии и во всех промежуточных точках. Эта развивающаяся модель инфраструктуры «от облака до края» сочетает в себе беспрецедентный масштаб и вычислительную мощность в облаке с экспоненциальным увеличением пропускной способности сети. Эта новая модель формирует цифровую трансформацию в мире и стимулирует цифровое возрождение, поэтому Intel сосредоточена на внедрении множества новых технологий, которые обеспечивают повсеместные вычисления, инфраструктуру от облака до края, повсеместное подключение и ИИ. Ассортимент чрезвычайно гибких и программируемых логических решений Intel играет важную роль в ускорении этих технологических изменений.

Мы даем нашим клиентам возможность достичь более высокой производительности с большей энергоэффективностью на всех конечных рынках и во всех приложениях с помощью нашего флагманского семейства Intel® Agilex™ FPGA. Мы продолжаем наращивать темпы благодаря варианту семейства Intel® Agilex™ M-Series. FPGA Intel Agilex серии M включают в себя несколько новых функциональных инноваций и новых функций, которые в совокупности значительно повышают абсолютную производительность и производительность на ватт по нескольким параметрам, которые имеют решающее значение для разработки новых, еще более мощных и эффективных систем. Эти инновации и новые функции включают в себя:

  • Самая высокая в отрасли пропускная способность памяти для FPGA ( 1)
  • Самая высокая в отрасли плотность вычислений DSP в FPGA с поддержкой HBM (2)
  • Более чем двукратное увеличение производительности ткани на ватт по сравнению с конкурирующими 7-нм ПЛИС ( 3)

Возможности новых FPGA Intel Agilex M-серии обеспечивают отрасли высокоскоростную работу в сети, вычисления и ускорение хранения, необходимые для достижения еще более амбициозных целей в области производительности и возможностей для сетевых, облачных и встроенных периферийных приложений.

«М» для памяти ( Memory )

Буква «M» в «M-Series» означает «память». Больше и быстрее память, безусловно, является одним из заметных и важных преимуществ, включенных в FPGA Intel Agilex M-Series. Почти все без исключения передовые приложения требуют иерархии памяти, которая варьируется от быстрой к более быстрой и еще к самой быстрой, позволяя проектным группам найти компромисс между пропускной способностью и задержкой памяти и емкостью памяти. FPGA Intel Agilex серии M имеют широкую и гибкую иерархию памяти, которая включает встроенную SRAM со сверхнизкой задержкой и сверхвысокой пропускной способностью; встроенная память большей емкости с высокой пропускной способностью в виде стеков DRAM HBM2e (High-Bandwidth Memory); поддержка быстрой внешней синхронной памяти DRAM (SDRAM) большой емкости, включая DDR4, DDR5 и LPDDR5; энергонезависимая энергонезависимая память Intel® Optane™ сверхвысокой емкости.

Все ПЛИС Intel Agilex, в том числе представители серии M, включают быстродействующую встроенную SRAM в виде блоков MLAB и M20K. Эти SRAM интегрированы в структуру программируемой логики FPGA и, следовательно, расположены непосредственно рядом с логикой, которая будет обмениваться данными с этой памятью. Некоторые FPGA Intel Agilex M-серии также включают встроенный HBM в виде стеков памяти HBM2e, управляемых усиленными контроллерами памяти.

ПЛИС Intel Agilex M-Series еще больше расширяют возможности HBM за счет включения двух стеков DRAM HBM2e. Два встроенных стека HBM2e DRAM в FPGA Intel Agilex M-серии обеспечивают максимальную пропускную способность памяти 32 Гбайт и до 410 Гбайт/с на стек HBM2e, при этом общая пропускная способность памяти HBM2e в пакете достигает 820 Гбайт. /второй. Это на 60 % больше пропускной способности по сравнению с FPGA Intel Stratix 10 MX предыдущего поколения, что позволяет разработчикам использовать FPGA Intel Agilex серии M в более сложных системах. ( 4)

Для приложений, которым требуется дополнительная емкость высокоскоростной памяти DRAM, FPGA Intel Agilex серии M также поддерживают внешние SDRAM DDR5 и LPDDR5 с помощью встроенных усиленных высокоэффективных контроллеров памяти. FPGA Intel Agilex серии M вместе с энергонезависимой памятью Intel® Optane™ обеспечивают разработчикам систем дополнительную гибкость и еще больший объем памяти при построении иерархии памяти для конкретной системы.

DDR5 и LPDDR5 SDRAM в настоящее время являются самыми быстрыми доступными модулями памяти SDRAM DIMM. Каждый из независимых контроллеров памяти в ПЛИС Intel Agilex серии M может работать с памятью DDR5 SDRAM со скоростью 5600 MTransfers/s с разрядностью данных до 40 бит на канал (плюс биты ECC). При объединении пропускной способности памяти HBM2e и DDR5 FPGA Intel Agilex серии M с восемью подключенными модулями DIMM DDR5 SDRAM обеспечивают теоретическую максимальную пропускную способность памяти 1,099 ТБ/с.

Передача данных со скоростью терабайт в секунду между структурой программируемой логики FPGA и памятью HBM2e и DDR5 может оказаться непростой задачей. Это потенциальное узкое место привело к разработке еще одной инновации Intel Agilex серии M FPGA: двойной усиленной сети памяти на кристалле (NoC). Эта память NoC действует как супермагистраль, которая соединяет внутреннюю память HBM2e DRAM, внешнюю память DDR5 SDRAM и высокоскоростной внешний ввод-вывод FPGA с матрицей FPGA. Совокупная пиковая пропускная способность Dual Memory NoC составляет 7,52 ТБ/с — действительно огромная полоса пропускания на кристалле, которая не потребляет ни одного из ресурсов программируемой логики на кристалле FPGA, что значительно снижает потенциал узкого места в памяти.

Быстрый ввод-вывод и быстрые вычисления

Плавное перемещение данных в эту иерархию памяти и из нее, а также по всей FPGA имеет решающее значение для достижения высоких целей производительности на уровне системы, но также важно, чтобы разработчики имели доступ к другим ресурсам с высокой пропускной способностью, которые могут перемещать данные между внешней системой и ПЛИС. FPGA Intel Agilex серии M включают до 72 высокоскоростных приемопередатчиков SERDES, в том числе до восьми приемопередатчиков, каждый из которых может работать на скорости 116 Гбит/с с использованием модуляции PAM4 для обмена данными между FPGA и остальной частью системы на очень высокой скорости. скорости передачи данных. Приемопередатчики SERDES Intel Agilex M-Series поддерживают множество новейших, отраслевых стандартов, высокоскоростных последовательных протоколов, включая 400G Ethernet, и могут напрямую подключаться к усовершенствованным ЦП, включая новейшие ЦП Intel® Xeon® с использованием PCIe Gen 5 и CXL. интерфейсные протоколы.

Наконец, после того как данные поступили в FPGA, их, как правило, необходимо обработать с помощью самых разных вычислительных алгоритмов. Структура программируемой логики в ПЛИС Intel Agilex серии M работает очень быстро и может реализовывать различные вычислительные алгоритмы с высокой скоростью передачи данных. Кроме того, эта структура с программируемой логикой включает до 12 300 блоков DSP переменной точности с плавающей запятой, способных обеспечить 18,5 TFLOPS с одинарной точностью или 88,6 INT8 TOPS для обработки еще более тяжелых вычислительных нагрузок.

Для получения более подробной информации о FPGA Intel Agilex серии M, включая обзор устройства, информационный документ и краткое описание решения, щелкните здесь .

Официальные уведомления и отказ от ответственности

Производительность зависит от использования, конфигурации и других факторов. Узнайте больше на www.Intel.com/PerformanceIndex ?.

Результаты производительности основаны на тестировании на даты, указанные в конфигурациях, и могут не отражать все общедоступные обновления. См. резервную копию для деталей конфигурации. Ни один продукт или компонент не может быть абсолютно безопасным.

Ваши затраты и результаты могут отличаться.

Для технологий Intel может потребоваться активированное оборудование, программное обеспечение или активация службы.

© Корпорация Intel. Intel, логотип Intel и другие товарные знаки Intel являются товарными знаками корпорации Intel или ее дочерних компаний. Другие имена и торговые марки могут быть заявлены как собственность других лиц. ?

(1)  Теоретическая максимальная пропускная способность Intel Agilex M-Series составляет 1,099 ТБ/с с 2 банками HBM2e, использующими ECC в качестве данных, и 8 модулями DIMM DDR5 по сравнению с пропускной способностью памяти Xilinx Versal HBM, равной 1,056 ТБ/с, с https://www.xilinx.com/products/silicon- devices/acap/versal-hbm.html#productAdvantages и https://www.xilinx.com/content/dam/xilinx/support/documentation/selection-guides/versal-hbm-product-... по состоянию на 14 октября. , 2021 г. и пропускная способность памяти Achronix Speedster 7t 0,5 ТБ/с с https://www.achronix.com/sites/default/files/docs/Speedster7t_Product_Brief_PB033.pdf по состоянию на 14 октября 2021 г.

(2)  Плотность вычислений DSP Intel Agilex серии M прогнозируется на уровне 88,6 INT8 TOP и 18,45 FP32 TFLOP по сравнению с Xilinx Versal HBM с 74,9 INT8 TOP и 17,5 FP32 TFLOP с https://www.xilinx.com/content/dam/xilinx/support/ document/selection-guides/versal-hbm-product-... от 14 октября 2021 г. и для Achronix Speedster 7t с 61,4 INT8 TFLOP и без поддержки FP32, с https://www.achronix.com/machine-learning -процессор по состоянию на 14 октября 2021 г.

(3)  Результаты Agilex M-Series >2x производительность ткани/Вт основаны на прогнозах Agilex AGM039-R31B по сравнению с измерениями на Agilex AGI027-R31B и сравнении мощности AGF014-2 с тканью Xilinx Versal FPGA эквивалентной плотности, где Agilex AGI027- Прогнозируется, что R31B будет иметь такую ??же производительность на ватт основной ткани, что и AGF014-2. При сравнении предполагается, что Xilinx Versal HBM имеет ту же базовую структуру, что и аналогичные устройства Versal без HBM по состоянию на октябрь 2021 года.

(4)  Плотность вычислений Intel Agilex серии M прогнозируется на уровне 18,45 FP32 TFLOP, пропускная способность памяти HBM прогнозируется на уровне 410 Гбит/с на стек, а производительность EMIF DDR5 прогнозируется на уровне 5600 МТ/с. Плотность вычислений Stratix 10 MX предыдущего поколения составляет 6,3 FP32 TFLOP, пропускная способность памяти HBM — 256 Гбит/с на стек, а производительность EMIF DDR4 — 2667 МТ/с.

Публикации по теме
Центры обработки данных
 
Новости Intel

© "Storage News" journal, Russia&CIS
(495) 233-4935;
www.storagenews.ru; info@storagenews.ru.